Diferencia entre revisiones de «Página principal»

De WikiRobotics
Saltar a: navegación, buscar
(Noticias)
(Noticias)
Línea 416: Línea 416:
 
|}
 
|}
  
=== 2015 ===
 
{| {{tablabonita}}
 
| <center>[[Archivo:Videoblog12-fpga-thumbnail.png|100px|link=https://github.com/Obijuan/videoblog/wiki/Cap%C3%ADtulo-12:-Proyecto-Icestorm:-Herramientas-libres-para-sintetizar-dise%C3%B1os-en-FPGAs]]</center>
 
'''24/Dic/2015'''
 
|| '''VideoBlog 12:''' Proyecto Icestorm: Herramientas libres para sintetizar diseños en '''FPGAs'''
 
([https://github.com/Obijuan/videoblog/wiki/Cap%C3%ADtulo-12:-Proyecto-Icestorm:-Herramientas-libres-para-sintetizar-dise%C3%B1os-en-FPGAs Más información]) ([https://github.com/Obijuan/videoblog/tree/master/Video-12-icetorm-fpga Github]) ([https://www.youtube.com/watch?v=XoQUm0jBdfs Youtube])
 
|----------
 
| <center>[[Archivo:Icestick-case-4.png|100px|link=https://github.com/Obijuan/3D-parts/wiki/Carcasa-para-placa-FPGA-Icestick]]</center>
 
'''23/Dic/2015'''
 
|| '''Carcasa''' imprimible 3D para la '''placa ICEstick'''
 
([https://github.com/Obijuan/3D-parts/wiki/Carcasa-para-placa-FPGA-Icestick Más información]) ([https://github.com/Obijuan/3D-parts/tree/master/2015-12-23-icestick-case Github]) ([https://www.youmagine.com/designs/icestick-board-case Youmagine])
 
|----------
 
| <center>[[Archivo:Fpga-peripherals-uart-rx-ports.png|100px|link=https://github.com/Obijuan/FPGA-peripherals/wiki/Asynchronous-serial-receiver-unit]]</center>
 
'''18/Dic/2015'''
 
|| '''Unidad de recepción serie''', para FPGA. Sintetizable con las herramientas libres del proyecto Icestorm
 
([https://github.com/Obijuan/FPGA-peripherals/wiki/Asynchronous-serial-receiver-unit Más información]) ([https://github.com/Obijuan/FPGA-peripherals/tree/master/uart-rx Github])
 
|----------
 
| <center>[[Archivo:Uart-tx-ports.png|100px|link=https://github.com/Obijuan/FPGA-peripherals/wiki/Asynchronous-serial-transmitter-unit]]</center>
 
'''15/Dic/2015'''
 
|| '''Unidad de transmisión serie''', para FPGA. Sintetizable con las herramientas libres del proyecto Icestorm
 
([https://github.com/Obijuan/FPGA-peripherals/wiki/Asynchronous-serial-transmitter-unit Más información]) ([https://github.com/Obijuan/FPGA-peripherals/tree/master/uart-tx Github])
 
|----------
 
| <center>[[Archivo:Robot-claw-id-holder-image-2.png|100px|link=https://github.com/Obijuan/3D-parts/wiki/Pinza-rob%C3%B3tica-porta-acreditaciones]]</center>
 
'''3/Dic/2015'''
 
|| '''Pinza robótica''' porta acreditaciones
 
([https://github.com/Obijuan/3D-parts/wiki/Pinza-rob%C3%B3tica-porta-acreditaciones Más información]) ([https://github.com/Obijuan/Generic-3D-designs/tree/master/bq-id-card-holders/robot-claw-holder Github]) ([http://diwo.bq.com/builds/construye-tu-p%E2%80%A6acreditaciones/ DIWO]) ([https://www.youmagine.com/designs/robot-claw-id-badge-holder Youmagine])
 
|----------
 
| <center>[[Archivo:Tutorial-fpga-logo.png|100px|link=https://github.com/Obijuan/open-fpga-verilog-tutorial/wiki]]</center>
 
'''20/Nov/2015'''
 
|| '''Tutorial: Diseño digital para FPGA con herramientas libres'''. Lenguaje Verilog. Herramientas: proyecto Icestorm
 
([https://github.com/Obijuan/open-fpga-verilog-tutorial/wiki Más información]) ([https://github.com/Obijuan/open-fpga-verilog-tutorial Github])
 
|----------
 
|}
 
  
 
=== Años anteriores ===
 
=== Años anteriores ===

Revisión del 08:12 29 sep 2016

center

Proyectos destacados

FlatBot
Skybot

Skypic

150px
Objetos 3D imprimibles
center
PuchoBot
Cube Revolutions
Tutorial simulación
Programación del PIC16 en C
120px

Mini-Skybot

120px

Módulos Y1

120px

Módulos MY1

120px

Skycube

120px

SkyMega

130px

Impresoras 3D Open-source

120px

Proyecto Clone Wars

120px

Obijuan Academy

120px

Mini-PI

130px

Biblioteca printbots

Índice

Robots Documentación Talleres y Cursos Software Conferencias y charlas
Hardware Cuadernos Técnicos Eventos Enlaces Cajón desastre
BLOG Publicaciones Proyectos hasta 2007 Fotos Pagina de Test

Páginas personales

100px
Andrés Prieto-Moreno

140px
Juan González Gómez

100px
Ricardo Gómez

100px
Avinash Ranganath

Noticias

2016

100px

23/Septiembre/2016

Publicada la presentación y las fuentes de la charla "FPGAs libres" dada en la Oficina de Software Libre de la Universidad de Granada

(Más información)

100px

15/Septiembre/2016

Diseñado logo para representar el concepto de Patrimonio tecnológico de la humanidad

(Más información)

100px

11/Septiembre/2016

VideoBlog 25: ACC1: Apollo CPU Core 1

(Más información) (Github) (Video)

100px

8/Septiembre/2016

VideoBlog 24: Teselación de Penrose P2

(Más información) (Github) (Video)

100px

8/Septiembre/2016

Piezas paramétricas en 3D para hacer la Teselación de Penrose usando las piezas del conjunto P2

(Más información) (Youmagine)

100px

23/Agosto/2016

Videoblog 23: Apollo CPU Core. Descripción del proyecto ACC

(Más información) (Vídeo)

100px

22/Agosto/2016

ACC1: Apollo CPU Core 1. Versión 1 de la CPU del Apollo en Verilog para FPGAs libres. Incluye una unidad de control para ejecutar una instrucción: TCF (Salto incondicional)

(Más información) (FPGAwars) (Vídeo)

100px

16/Agosto/2016

ACC0: Apollo CPU Core 0. Versión inicial de la CPU del Apollo, en Verilog para FPGAs libres. Sólo tiene la ROM

(Más información) (FPGAwars) (Vídeo)

100px

10/Agosto/2016

Icestudio: Contador ascendente/descendente de 8-bits

(Más información) (FPGAwars) (Vídeo)

100px

07/Agosto/2016

Icestudio: Circuito para mostrar los 8 bits más significativos de una ROM de 1K x 16 bits

(Más información) (FPGAwars)

100px

06/Agosto/2016

Icestudio: Circuito que muestra por los leds de la icezum el contenido de una memoria ROM de 16x8

(Más información) (FPGAwars)

100px

05/Agosto/2016

Icestudio: Contador de 8 bits, manual, con antirrebotes

(Más información) (FPGAwars)

100px

05/Agosto/2016

Icestudio: Circuito antirrebotes

(Más información) (FPGAwars)

100px

05/Agosto/2016

Icestudio: Circuito hola mundo para hacer parpadear un led

(Más información) (FPGAwars)

100px

03/Agosto/2016

Icestudio: Circuito contador de rebotes

(Más información) (FPGAwars)

100px

29/Julio/2016

Videoblog 22: Icezum Alhambra V1.0K. Patrimonio tecnológico de la Humanidad Edition

(Más información) (Vídeo)

100px

29/Julio/2016

Tarjeta Icezum Alhambra V1.0K Liberada. Patrimonio tecnológico de la Humanidad Edition

(Más información) (Release en Github)

100px

24/Junio/2016

Videoblog 21: Cómo importar modelos hechos con Freecad, en nuestros circuitos con Kicad

(Más información) (Vídeo) (Github)

100px

23/Junio/2016

Interruptor acodado modelado en Freecad

(Más información)

100px

22/Junio/2016

Capuchón de jumper modelado en Freecad

(Más información)

100px

20/Junio/2016

Conector hembra 1x10 modelado en Freecad

(Más información)

100px

18/Junio/2016

Encapsulado PVQFN20 modelado en Freecad

(Más información)

100px

17/Junio/2016

Encapsulado DFN-8-6x5mm modelado en Freecad

(Más información)

100px

16/Junio/2016

Encapsulado WSON-6 modelado en Freecad

(Más información)

100px

16/Junio/2016

Encapsulado DFN-3030-8 modelado en Freecad

(Más información)

100px

16/Junio/2016

Inductor L4040 modelado en Freecad

(Más información)

100px

14/Junio/2016

Encapsulado QFN16 modelado en Freecad

(Más información)

100px

14/Junio/2016

Encapsulado DFN2523-6 modelado en Freecad

(Más información)

100px

13/Junio/2016

Diodos con encapsulado DO-214AC modelado en Freecad

(Más información)

100px

13/Junio/2016

Mosfet con encapsulado SOT23-3 modelado en Freecad

(Más información)

100px

13/Junio/2016

Diodo con encapsulado SOD323 modelado en Freecad

(Más información)

100px

12/Junio/2016

Chip FTDI 2232 con encapsulado QFN-16 modelado en Freecad

(Más información)

100px

11/Junio/2016

Encapsulado PVQFN16 modelado en Freecad

(Más información)

100px

11/Junio/2016

Inductor smd L0805 modelado en Freecad

(Más información)

100px

10/Junio/2016

Encapsulado SOT-563 modelado en Freecad

(Más información)

100px

08/Junio/2016

Resistencia SMD 0402 modelada en Freecad

(Más información)

100px

08/Junio/2016

Conector USB micro B smd modelado en Freecad

(Más información)

100px

06/Junio/2016

Condensador smd 0603 modelado en Freecad

(Más información)

100px

06/Junio/2016

Encapsulado MSOP-8 modelado en Freecad

(Más información)

100px

06/Junio/2016

Condensador SMD 0402 modelado en Freecad

(Más información)

100px

06/Junio/2016

Oscilador smd 3.2x2.5 modelado en Freecad

(Más información)

100px

05/Junio/2016

Fusible SMD 1812 505k modelado en Freecad

(Más información)

100px

04/Junio/2016

Pulsador smd 6.0x3.8 modelado en Freecad

(Más información)

100px

03/Junio/2016

Array de resistencias smd 4x0603 modelado en Freecad

(Más información)

100px

03/Junio/2016

Comenzada la migración de la Icezum Alhambra a Kicad

(Más información)

100px

02/Junio/2016

Led smd 0603 modelado en Freecad

(Más información)

100px

31/Mayo/2016

Videoblog 20: Esquema de la icezum Alhambra migrado a Kicad

(Más información) (Video)

https://github.com/FPGAwars/icezum/tree/master/src-kicad

30/Mayo/2016

Esquemático de la tarjeta Icezum Alhambra migrado a Kicad

(Más información)

http://www.pighixxx.com/test/2016/05/icezum-pinout/

04/Mayo/2016

Pinout de la tarjeta Icezum Alhambra, por Alberto Piganti

(Más información)(PDF)(SVG)

100px

29/Abril/2016

Soporte para FPGAs libres en platformio 2.9.0 :-)

(Más información)

100px

17/Abril/2016

Instalación de una máquina virtual de Ubuntu 15.10 en QEMU bajo Ubuntu 15.10

(Más información)

100px

24/Mar/2016

VideoBlog 19: Ubuntu phone y FPGAs. Herramientas del proyecto icestorm cross-compiladas para el Ubuntu phone. Ejemplo de funcionamiento

(Más información) (Github) (Vídeo)

100px

17/Mar/2016

Cross-compilando el programa hola mundo en C para el Ubuntu Phone

(Más información) (Github)

100px

15/Mar/2016

Locomoción de un robot modular de 2 módulos (configuración mínima PP) usando osciladores Hardware en una FPGA, con la tarjeta IceZUM

(Github) (Youtube)

100px

12/Mar/2016

VideoBlog 17: Tarjeta IceZUM Alhambra. FPGA para todos

(Más información) (Github) (Youtube)

100px

12/Mar/2016

Tarjeta IceZUM Alhambra, una entrenadora para FPGA que usa herramientas libres. Compatible con Arduino/Zum

(Más información) (Github)

100px

22/Feb/2016T

Cross compilación de la biblioteca libftdi para windows, desde una máquina ubuntu/Linux 15.10

(Más información) (Github)

100px

20/Feb/2016

Cross compilación de la biblioteca libusb para windows, desde una máquina ubuntu/Linux 15.10

(Más información)

100px

14/Feb/2016

Instalación de una máquina virtual de Windows 7 en QEMU bajo Ubuntu 15.10

(Más información)

100px

13/Feb/2016

VideoBlog 16: Capítulo 16: Programación de simplez con Platformio

(Más información) (Github) (Youtube)

100px

06/Feb/2016

VideoBlog 15: Capítulo 15: Programación visual de hardware en FPGA. Icestudio

(Más información) (Github) (Youtube)

100px

31/Ene/2016

VideoBlog 14: Platformio + FPGA. Ejemplo de síntesis de hardware y descarga en FPGA usando Platformio

(Más información) (Github) (Youtube)

100px

24/Ene/2016

VideoBlog 13: Microprocesador símplez sintetizado en FPGA

(Más información) (Github) (Youtube)


Años anteriores