Artículo 6: Simulación de Diseños VHDL con Software Libre: La Herramienta GHDL





Disponible on-line: [PDF] [HTML]

Transparencias de la presentación: [PDF] [OpenOffice]


Autores


Licencia

Se condecen permisos para usar, modificar y/o distribuir este artículo, siempre que se mantenga esta nota.


Download

Documentos para descargar

ghdl.pdf (36KB)

Artículo en formato PDF

ghdl.tgz (82KB)

Fuentes del artículo para Lyx y dibujos para Xfig

pres-ghdl.pdf (263KB)

Presentación en formato PDF

pres-ghdl.sxi (58KB)

Presentación en OpenOffice

ejemplos.tgz (108KB)

Todos los ejemplos en VHDL descritos en el artículo


Ejemplos sueltos

hola_mundo.vhdl

Ejemplo 1: Hola mundo en VHDL

inversor.vhdl

Ejemplo 2: Un inversor

tb_inversor.vhdl

Ejemplo 2: Banco de pruebas para el inversor

hola_mundo2.vhdl

Ejemplo 3: Hola mundo en vhdl que invoca a una función en C

holac.c

Ejemplo 3: Función en C, que se invoca desde VHDL

Makefile

Ejemplo 3: Fichero Makefile, para compilar el ejemplo 3



Enlaces


IEA ROBOTICS

Juan González
[Indice de artículos]