2.1  Programa ``hola mundo''

Empezaremos con el programa hola mundo, que saca un mensaje por la consola. Se encuentra en el fichero hola_mundo.vhdl:


use std.textio.all; 

entity hola_mundo is
end hola_mundo; 

architecture beh of hola_mundo is

begin
  process
    variable l : line;
  begin
    l := new string'("¡¡Hola mundo!!");
    writeline (output, l);
    wait;
  end process;
end beh;


Una vez editado, los pasos para simularlo son los siguientes:


$ ghdl -a hola_mundo.vhdl
 

Realiza el análisis sintáctico y crea el fichero objeto y la librería de trabajo. Los ficheros que aparecen son:


$ ls
hola_mundo.o hola_mundo.vhdl work-obj93.cf
 

Al realizar la elaboración, se genera el ejecutable hola_mundo. Hay que especificar el nombre de la entidad superior, que en este caso coincide con el nombre que le hemos dado al fichero:


$ ghdl -e hola_mundo
$ ls
e~hola_mundo.o hola_mundo hola_mundo.o hola_mundo.vhdl
work-obj93.cf
 

Finalmente ejecutamos el programa:


$ ./hola_mundo
¡¡Hola mundo!!
$
 

Juan Gonzalez 2004-10-01