Diferencia entre revisiones de «Obijuan:Notas sobre FPGAs libres»

De WikiRobotics
Saltar a: navegación, buscar
Línea 35: Línea 35:
 
== Otros ==
 
== Otros ==
 
* [https://media.ccc.de/browse/conferences/camp2015/camp2015-6730-fpgas_for_everyone.html#video Charla de FPGAs en chaos 2015]
 
* [https://media.ccc.de/browse/conferences/camp2015/camp2015-6730-fpgas_for_everyone.html#video Charla de FPGAs en chaos 2015]
 +
* [http://www.academia.edu/5015377/Pr%C3%A1ctica_3_Dise%C3%B1o_de_un_controlador_VGA_en_VHDL Controlador en VHDL]
 +
* [http://www.dsquaredrobotics.com/wiki/doku.php?id=en:spaceinvaders Space invaders en VHDL]
  
 
== Log ==
 
== Log ==

Revisión del 03:04 21 ago 2015

Recopilación de links

Verilog

CPUs

Otros proyectos

  • Flavia. Es una idea similar a lo que yo tenía pensado: hacer una fpga virtual sobre una real para usar sólo herramientas libres. Flavia es similar, pero implementan un CPLD y las herramientas libres para su programación
  • xxicc. Relacionado con flavia. Ya tienen una iCEstick y han probado icestorm.

Otros

Log

  • 10/Agosto/2015: He descargado mi primer diseño verilog en la fpga, usando herramientas libres!!! Un programa "hola mundo" que enciende un led!!!!!
  • 05/Agosto/2015: Recibidas las dos icesticks compradas
  • 31/Julio/2015: He instalado todo el tool-chain de la web de icestorm en un ubuntu 15.04. ¡Funciona!. Todos los ejemplos están en este github