Lenguaje VHDL

De WikiRobotics
Revisión del 04:49 26 feb 2009 de Obijuan (Discusión | contribuciones) (Simulando un registro)

Saltar a: navegación, buscar

Introducción

Ejemplos

VHDL en Linux

Se pueden simular diseños hardware en VHDL bajo linux usando las herramientas gtkwave y ghdl.

Instalación

Su instalación es inmediata en Debian y Ubuntu. Seleccionar mediante Synaptic los paquetes gtkwave y ghdl o bien usar la siguiente línea de comando:

$ apt-get install ghdl gtkwave

Simulando un registro

Para comprobar que las herramientas están correctamente instaladas vamos a simular un registro de 8 bits. Para ello seguir los siguientes pasos:

  • Descargar el ejemplo (Download)

Licencia

Cc logo.png This work is licensed under a Creative Commons Attribution-ShareAlike 3.0 Spain License.
150px El código está liberado bajo licencia GPLv3

Autor

Juan González Gómez

Enlaces

Noticias

  • 26/Feb/2009: Comenzada esta página. Añadido el registro de 8 bits