Diferencia entre revisiones de «Lenguaje VHDL»

De WikiRobotics
Saltar a: navegación, buscar
(VHDL en Linux)
(VHDL en Linux)
Línea 9: Línea 9:
 
Su instalación es inmediata en '''Debian''' y '''Ubuntu'''. Seleccionar mediante Synaptic los paquetes gtkwave y ghdl o bien usar la siguiente línea de comando:
 
Su instalación es inmediata en '''Debian''' y '''Ubuntu'''. Seleccionar mediante Synaptic los paquetes gtkwave y ghdl o bien usar la siguiente línea de comando:
 
  $ apt-get install ghdl gtkwave
 
  $ apt-get install ghdl gtkwave
 +
 +
=== Simulando un registro ===
 +
Para comprobar que las herramientas están correctamente instalada....
  
 
== Enlaces ==
 
== Enlaces ==
 
== Cambios ==
 
== Cambios ==
 
* '''26/Feb/2009''': Comenzada esta página. Añadido el registro de 8 bits
 
* '''26/Feb/2009''': Comenzada esta página. Añadido el registro de 8 bits

Revisión del 04:32 26 feb 2009

Introducción

Ejemplos

VHDL en Linux

Se pueden simular diseños hardware en VHDL bajo linux usando las herramientas gtkwave y ghdl.

Instalación

Su instalación es inmediata en Debian y Ubuntu. Seleccionar mediante Synaptic los paquetes gtkwave y ghdl o bien usar la siguiente línea de comando:

$ apt-get install ghdl gtkwave

Simulando un registro

Para comprobar que las herramientas están correctamente instalada....

Enlaces

Cambios

  • 26/Feb/2009: Comenzada esta página. Añadido el registro de 8 bits