Diferencia entre revisiones de «Lenguaje VHDL»

De WikiRobotics
Saltar a: navegación, buscar
(VHDL en Linux)
(VHDL en Linux)
Línea 4: Línea 4:
  
 
== VHDL en Linux ==
 
== VHDL en Linux ==
Se pueden simular diseños hardware en VHDL bajo linux usando las herramientas gtkwave y ghdl.
+
Se pueden simular diseños hardware en VHDL bajo linux usando las herramientas [http://en.wikipedia.org/wiki/GTKWave gtkwave] y [http://ghdl.free.fr/ ghdl].
  
 
=== Instalación ===
 
=== Instalación ===

Revisión del 04:31 26 feb 2009

Introducción

Ejemplos

VHDL en Linux

Se pueden simular diseños hardware en VHDL bajo linux usando las herramientas gtkwave y ghdl.

Instalación

Su instalación es inmediata en Debian y Ubuntu. Seleccionar mediante Synaptic los paquetes gtkwave y ghdl o bien usar la siguiente línea de comando:

$ apt-get install ghdl gtkwave

Enlaces

Cambios

  • 26/Feb/2009: Comenzada esta página. Añadido el registro de 8 bits