4  Conclusiones

Hemos presentado una serie de herramientas libres que permiten la simulación de diseños VHDL. De todas ellas, la más importante es el compilador GHDL, basado en el GCC, que permite crear ficheros ejecutables a partir de las fuentes en VHDL. Además, se pueden invocar funciones externas, definidas en otros lenguajes, como C. Esto permite utilizar librerías y funciones que no están implementadas en el estándar de VHDL, como por ejemplo la función random para obtener números aleatorios.

Las herramientas están lo suficientemente maduras como para utilizarlas en la docencia de VHDL. Al tratarse de software libre, se pueden distribuir sin ninguna restricción e instalarlos en el número de ordenadores que se quiera. Las fuentes están también disponibles, lo que garantiza su portabilidad y su longevidad.



Juan Gonzalez 2004-10-01