1  Introducción

Existen aplicaciones comerciales muy potentes para trabajar con VHDL, como ModelSim[1], de la compañía ModelTech, o Active-HDL[2], de Aldec. Las herramientas libres disponibles no son tan completas y no se pueden emplear todavía en diseños profesionales. Sin embargo, están lo suficientemente maduras para su utilización en docencia, donde los diseños no son excesivamente complejos y las simulaciones son cortas. Además, el software libre no tiene restricciones de uso y de distribución, pudiéndose instalar en cualquier número de ordenadores sin tener que pagar licencias.

En este tutorial explicamos cómo simular diseños VHDL utilizando la herramienta GHDL[3], un front-end del compilador GCC[4] para el lenguaje VHDL, disponible bajo licencia GPL. Para la edición del código fuente se puede emplear cualquier editor de textos ASCII, como por ejemplo EMACs[5], que resalta la sintaxis y dispone de un asistente que auto-completa las palabras clave y muestra plantillas de las diferentes construcciones sintácticas del VHDL. Con las aplicaciones GTKWAVE[6] o IVI[7] se pueden visualizar los resultados de las simulaciones y exportar los diagramas de ondas a formato postcript, para incluirlos en las documentaciones.

Primero veremos dos ejemplos sencillos, el clásico ``hola mundo'' y un inversor. Los compilaremos y mostraremos los resultados de la simulación. Luego nos centraremos en la herramienta GHDL, describiendo todas su opciones y dando un ejemplo de cómo realizar llamadas a funciones en C, desde el código VHDL.

Todas los ejemplos de este tutorial se han probado en una máquina GNU/Linux con la distribución Debian/Sarge.

Juan Gonzalez 2004-10-01