5.1 GHDL y GTKWAVE

El GHDL es un analizador/simulador de VHDL basado en el compilador GCC. Es un proyecto muy prometedor y que aunque está todavía en desarrollo, permite realizar simulaciones complejas, como por ejemplos los procesadores DLX[21] y leon1[22].

GHDL genera un fichero ejecutable a partir de los archivos en VHDL. Al ejecutarlo se realiza la simulación. Podemos obtener los resultados en un fichero VCD, lo que nos permite visualizar las señales usando el GTKWAVE.



Juan Gonzalez 2003-12-31